This project is in a trial period, be cautious while contributing. Setup can still change before being approved as a libre project.
Component Approved Translated Unfinished Unfinished words Unfinished characters Untranslated Checks Suggestions Comments
SpinalHDL/Other language features/report CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Other language features/scope_property CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Other language features/stub CC0-1.0 0 0 0 0 0 6 0
SpinalHDL/Semantic/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Semantic/rules CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Sequential logic/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/engine CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/asynchronous CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/dual_clock_fifo CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/single_clock_fifo CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/synchronous CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/uart_decoder CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/examples/uart_encoder CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/install/GHDL CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/install/Icarus Verilog CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/install/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/simulator_specifics CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Simulation/threadFull CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Structuring/area CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Structuring/function CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Structuring/index CC0-1.0 0 0 0 0 0 0 0
Glossary SpinalDoc-RTD CC0-1.0 25% 0 0 0 0 0 0 0
SpinalHDL/Data types/Vec CC0-1.0 0 0 0 0 1 1 0
SpinalHDL/Examples/Advanced ones/jtag CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Examples/Simple ones/sinus_rom CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Getting Started/Scala Guide/basics CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Getting Started/VSCodium CC0-1.0 0 0 0 0 1 2 0
SpinalHDL/Libraries/Com/usb_device CC0-1.0 0 0 0 0 1 18 0
SpinalHDL/Libraries/index CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Libraries/Pipeline/introduction CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Libraries/regIf CC0-1.0 0 0 0 0 1 12 0
SpinalHDL/Libraries/stream CC0-1.0 0 0 0 0 1 2 0
SpinalHDL/Other language features/analog_inout CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Other language features/vhdl_generation CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Simulation/index CC0-1.0 0 0 0 0 1 2 0
SpinalHDL/Simulation/install/Verilator CC0-1.0 0 0 0 0 1 1 0
SpinalHDL/Structuring/parametrization CC0-1.0 0 0 0 0 1 4 0
SpinalHDL/Getting Started/Install and setup CC0-1.0 0 0 0 0 2 7 0
SpinalHDL/Getting Started/Scala Guide/interaction CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Libraries/Bus/amba3/apb3 CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Libraries/flow CC0-1.0 0 0 0 0 2 2 0
SpinalHDL/Simulation/sensitive CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Simulation/threadLess CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Structuring/naming CC0-1.0 0 0 0 0 2 2 0
SpinalHDL/Developers area/bus_slave_factory_impl CC0-1.0 0 0 0 0 3 3 0
SpinalHDL/Examples/Advanced ones/memory_mapped_uart CC0-1.0 0 0 0 0 3 0 0
SpinalHDL/Foreword/index CC0-1.0 0 0 0 0 3 2 0
SpinalHDL/Introduction/Projects using SpinalHDL CC0-1.0 0 0 0 0 3 1 0
SpinalHDL/Other language features/utils CC0-1.0 0 0 0 0 3 4 0
SpinalHDL/Semantic/assignments CC0-1.0 0 0 0 0 3 6 0
SpinalHDL/Structuring/components_hierarchy CC0-1.0 0 0 0 0 3 7 0
SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective CC0-1.0 0 0 0 0 4 0 0
SpinalHDL/Semantic/when_switch CC0-1.0 0 0 0 0 4 2 0
SpinalHDL/Sequential logic/registers CC0-1.0 0 0 0 0 4 1 0
SpinalHDL/Structuring/blackbox CC0-1.0 0 0 0 0 4 1 0
SpinalHDL/Data types/enum CC0-1.0 0 0 0 0 5 1 0
SpinalHDL/Libraries/fsm CC0-1.0 0 0 0 0 5 3 0
SpinalHDL/miscelenea/core/elements CC0-1.0 0 0 0 0 5 0 0
SpinalHDL/Data types/Fix CC0-1.0 0 0 0 0 6 1 0
SpinalHDL/Libraries/Misc/service_plugin CC0-1.0 0 0 0 0 6 0 0
SpinalHDL/Simulation/install/VCS CC0-1.0 0 0 0 0 6 1 0
SpinalHDL/Libraries/binarySystem CC0-1.0 0 0 0 0 7 1 0
SpinalHDL/Data types/bool CC0-1.0 0 0 0 0 8 6 0
SpinalHDL/Libraries/utils CC0-1.0 0 0 0 0 8 5 0
SpinalHDL/Developers area/types CC0-1.0 0 0 0 0 10 19 0
SpinalHDL/Simulation/bootstraps CC0-1.0 0 0 0 0 10 0 0
SpinalHDL/Simulation/signal CC0-1.0 0 0 0 0 10 0 0
SpinalHDL/Data types/bits CC0-1.0 0 0 0 0 15 28 0
SpinalHDL/Data types/Int CC0-1.0 0 0 0 0 21 22 0
SpinalHDL/Sequential logic/memory CC0-1.0 0 0 0 0 21 3 0
SpinalHDL/Structuring/clock_domain CC0-1.0 0 0 0 0 24 3 0
SpinalHDL/Simulation/clock CC0-1.0 0 0 0 0 26 2 0

Overview

Project website spinalhdl.github.io/SpinalDoc-RTD
Instructions for translators

SpinalHDL的使用说明

Project maintainers User avatar Readon User avatar SpinalHDL-CN User avatar tsy0123
Translation license CC0-1.0 SpinalHDL/Other language features/report SpinalHDL/Other language features/scope_property SpinalHDL/Other language features/stub SpinalHDL/Semantic/index SpinalHDL/Semantic/rules SpinalHDL/Sequential logic/index SpinalHDL/Simulation/engine SpinalHDL/Simulation/examples/asynchronous SpinalHDL/Simulation/examples/dual_clock_fifo SpinalHDL/Simulation/examples/index SpinalHDL/Simulation/examples/single_clock_fifo SpinalHDL/Simulation/examples/synchronous SpinalHDL/Simulation/examples/uart_decoder SpinalHDL/Simulation/examples/uart_encoder SpinalHDL/Simulation/install/GHDL SpinalHDL/Simulation/install/Icarus Verilog SpinalHDL/Simulation/install/index SpinalHDL/Simulation/simulator_specifics SpinalHDL/Simulation/threadFull SpinalHDL/Structuring/area SpinalHDL/Structuring/function SpinalHDL/Structuring/index SpinalDoc-RTD SpinalHDL/Data types/Vec SpinalHDL/Examples/Advanced ones/jtag SpinalHDL/Examples/Simple ones/sinus_rom SpinalHDL/Getting Started/Scala Guide/basics SpinalHDL/Getting Started/VSCodium SpinalHDL/Libraries/Com/usb_device SpinalHDL/Libraries/index SpinalHDL/Libraries/Pipeline/introduction SpinalHDL/Libraries/regIf SpinalHDL/Libraries/stream SpinalHDL/Other language features/analog_inout SpinalHDL/Other language features/vhdl_generation SpinalHDL/Simulation/index SpinalHDL/Simulation/install/Verilator SpinalHDL/Structuring/parametrization SpinalHDL/Getting Started/Install and setup SpinalHDL/Getting Started/Scala Guide/interaction SpinalHDL/Libraries/Bus/amba3/apb3 SpinalHDL/Libraries/flow SpinalHDL/Simulation/sensitive SpinalHDL/Simulation/threadLess SpinalHDL/Structuring/naming SpinalHDL/Developers area/bus_slave_factory_impl SpinalHDL/Examples/Advanced ones/memory_mapped_uart SpinalHDL/Foreword/index SpinalHDL/Introduction/Projects using SpinalHDL SpinalHDL/Other language features/utils SpinalHDL/Semantic/assignments SpinalHDL/Structuring/components_hierarchy SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective SpinalHDL/Semantic/when_switch SpinalHDL/Sequential logic/registers SpinalHDL/Structuring/blackbox SpinalHDL/Data types/enum SpinalHDL/Libraries/fsm SpinalHDL/miscelenea/core/elements SpinalHDL/Data types/Fix SpinalHDL/Libraries/Misc/service_plugin SpinalHDL/Simulation/install/VCS SpinalHDL/Libraries/binarySystem SpinalHDL/Data types/bool SpinalHDL/Libraries/utils SpinalHDL/Developers area/types SpinalHDL/Simulation/bootstraps SpinalHDL/Simulation/signal SpinalHDL/Data types/bits SpinalHDL/Data types/Int SpinalHDL/Sequential logic/memory SpinalHDL/Structuring/clock_domain SpinalHDL/Simulation/clock
an hour ago

String statistics

Strings percent Hosted strings Words percent Hosted words Characters percent Hosted characters
Total 11,308 99,354 640,046
Source 5,654 49,677 320,023
Approved 49% 5,616 49% 49,627 49% 319,668
Waiting for review 1% 60 1% 79 1% 576
Translated 100% 11,308 100% 99,354 100% 640,046
Needs editing 0% 0 0% 0 0% 0
Read-only 49% 5,632 49% 49,648 49% 319,802
Failing checks 2% 259 1% 1,522 1% 11,587
Strings with suggestions 2% 278 4% 4,633 4% 29,595
Untranslated strings 0% 0 0% 0 0% 0

Quick numbers

99,354
Hosted words
11,308
Hosted strings
100%
Translated
and previous 30 days

Trends of last 30 days

+1%
Hosted words
+100%
+1%
Hosted strings
+100%
Translated
+100%
+33%
Contributors
+100%
Language Approved Translated Unfinished Unfinished words Unfinished characters Untranslated Checks Suggestions Comments
English 99% 0 0 0 0 46 0 0
Chinese (Simplified) 99% 0 0 0 0 213 278 0
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master 6 days ago
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master 6 days ago
User avatar None

Changes pushed

Changes pushed 6 days ago
User avatar None

Changes committed

Changes committed 6 days ago
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master a week ago
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master a week ago
User avatar None

Changes pushed

Changes pushed a week ago
User avatar Readon

Translation completed

Translation completed a week ago
User avatar Readon

Translation approved

a week ago
User avatar None

Changes committed

Changes committed a week ago
Browse all project changes