This project is in a trial period, be cautious while contributing. Setup can still change before being approved as a libre project.
Component Approved Translated Unfinished Unfinished words Unfinished characters Untranslated Checks Suggestions Comments
index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/AFix CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/bits CC0-1.0 0 0 0 0 14 28 0
SpinalHDL/Data types/bool CC0-1.0 0 0 0 0 8 6 0
SpinalHDL/Data types/bundle CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Data types/enum CC0-1.0 0 0 0 0 5 1 0
SpinalHDL/Data types/Fix CC0-1.0 0 0 0 0 6 1 0
SpinalHDL/Data types/Floating CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Data types/index CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Data types/Int CC0-1.0 0 0 0 0 21 22 0
SpinalHDL/Data types/Vec CC0-1.0 0 0 0 0 1 1 0
SpinalHDL/Design errors/assignment_overlap CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/clock_crossing_violation CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Design errors/combinatorial_loop CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/hierarchy_violation CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/iobundle CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Design errors/latch_detected CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/no_driver_on CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/nullpointerexception CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/out_of_range_constant CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/register_defined_as_component_input CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/scope_violation CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/spinal_cant_clone CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/unassigned_register CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/unreachable_is_statement CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Design errors/width_mismatch CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Developers area/bus_slave_factory_impl CC0-1.0 0 0 0 0 3 3 0
SpinalHDL/Developers area/howtodocument CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Developers area/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Developers area/mill support CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Developers area/spinalhdl_datamodel CC0-1.0 0 0 0 0 0 21 0
SpinalHDL/Developers area/types CC0-1.0 0 0 0 0 10 19 0
SpinalHDL/Examples/Advanced ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/jtag CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Examples/Advanced ones/memory_mapped_uart CC0-1.0 0 0 0 0 3 0 0
SpinalHDL/Examples/Advanced ones/pinesec CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/slots CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Advanced ones/timer CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/fractal CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Intermediates ones/uart CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Examples/Intermediates ones/vga CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/apb3 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/carry_adder CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/color_summing CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/counter_with_clear CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/pll_resetctrl CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/rgb_to_gray CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Examples/Simple ones/sinus_rom CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Foreword/index CC0-1.0 0 0 0 0 3 2 0
SpinalHDL/Formal verification/index CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Getting Started/Cheatsheets/core CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/lib CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Cheatsheets/symbolic CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective CC0-1.0 0 0 0 0 4 0 0
SpinalHDL/Getting Started/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Install and setup CC0-1.0 0 0 0 0 2 7 0
SpinalHDL/Getting Started/IntelliJ CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/SBT CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Getting Started/Scala Guide/basics CC0-1.0 0 0 0 0 1 0 0
SpinalHDL/Getting Started/Scala Guide/coding_conventions CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Scala Guide/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Getting Started/Scala Guide/interaction CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Getting Started/VSCodium CC0-1.0 0 0 0 0 1 2 0
SpinalHDL/Introduction/A simple example CC0-1.0 0 0 0 0 0 5 0
SpinalHDL/Introduction/Contributing CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/faq CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Introduction/Getting in touch CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/License CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Introduction/Other learning materials CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Introduction/Projects using SpinalHDL CC0-1.0 0 0 0 0 3 1 0
SpinalHDL/Introduction/SpinalHDL CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Legacy/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/pinsec/hardware CC0-1.0 0 0 0 0 0 8 0
SpinalHDL/Legacy/pinsec/hardware_toplevel CC0-1.0 0 0 0 0 0 10 0
SpinalHDL/Legacy/pinsec/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/pinsec/introduction CC0-1.0 0 0 0 0 0 2 0
SpinalHDL/Legacy/pinsec/software CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Legacy/riscv CC0-1.0 0 0 0 0 0 4 0
SpinalHDL/Libraries/binarySystem CC0-1.0 0 0 0 0 7 1 0
SpinalHDL/Libraries/Bus/amba3/ahblite3 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/amba3/apb3 CC0-1.0 0 0 0 0 2 0 0
SpinalHDL/Libraries/Bus/amba4/axi4 CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/avalon/avalonmm CC0-1.0 0 0 0 0 0 1 0
SpinalHDL/Libraries/Bus/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/bus_slave_factory CC0-1.0 0 0 0 0 0 3 0
SpinalHDL/Libraries/Bus/tilelink/tilelink CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/index CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/spiXdr CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/uart CC0-1.0 0 0 0 0 0 0 0
SpinalHDL/Libraries/Com/usb_device CC0-1.0 0 0 0 0 1 18 0
SpinalHDL/Libraries/Com/usb_ohci CC0-1.0 0 0 0 0 0 5 0

Overview

Project website spinalhdl.github.io/SpinalDoc-RTD
Instructions for translators

SpinalHDL的使用说明

Project maintainers User avatar Readon User avatar SpinalHDL-CN User avatar tsy0123
Translation license CC0-1.0 index SpinalHDL/Data types/AFix SpinalHDL/Data types/bits SpinalHDL/Data types/bool SpinalHDL/Data types/bundle SpinalHDL/Data types/enum SpinalHDL/Data types/Fix SpinalHDL/Data types/Floating SpinalHDL/Data types/index SpinalHDL/Data types/Int SpinalHDL/Data types/Vec SpinalHDL/Design errors/assignment_overlap SpinalHDL/Design errors/clock_crossing_violation SpinalHDL/Design errors/combinatorial_loop SpinalHDL/Design errors/hierarchy_violation SpinalHDL/Design errors/index SpinalHDL/Design errors/iobundle SpinalHDL/Design errors/latch_detected SpinalHDL/Design errors/no_driver_on SpinalHDL/Design errors/nullpointerexception SpinalHDL/Design errors/out_of_range_constant SpinalHDL/Design errors/register_defined_as_component_input SpinalHDL/Design errors/scope_violation SpinalHDL/Design errors/spinal_cant_clone SpinalHDL/Design errors/unassigned_register SpinalHDL/Design errors/unreachable_is_statement SpinalHDL/Design errors/width_mismatch SpinalHDL/Developers area/bus_slave_factory_impl SpinalHDL/Developers area/howtodocument SpinalHDL/Developers area/index SpinalHDL/Developers area/mill support SpinalHDL/Developers area/spinalhdl_datamodel SpinalHDL/Developers area/types SpinalHDL/Examples/Advanced ones/index SpinalHDL/Examples/Advanced ones/jtag SpinalHDL/Examples/Advanced ones/memory_mapped_uart SpinalHDL/Examples/Advanced ones/pinesec SpinalHDL/Examples/Advanced ones/slots SpinalHDL/Examples/Advanced ones/timer SpinalHDL/Examples/index SpinalHDL/Examples/Intermediates ones/fractal SpinalHDL/Examples/Intermediates ones/index SpinalHDL/Examples/Intermediates ones/uart SpinalHDL/Examples/Intermediates ones/vga SpinalHDL/Examples/Simple ones/apb3 SpinalHDL/Examples/Simple ones/carry_adder SpinalHDL/Examples/Simple ones/color_summing SpinalHDL/Examples/Simple ones/counter_with_clear SpinalHDL/Examples/Simple ones/index SpinalHDL/Examples/Simple ones/pll_resetctrl SpinalHDL/Examples/Simple ones/rgb_to_gray SpinalHDL/Examples/Simple ones/sinus_rom SpinalHDL/Foreword/index SpinalHDL/Formal verification/index SpinalHDL/Getting Started/Cheatsheets/core SpinalHDL/Getting Started/Cheatsheets/index SpinalHDL/Getting Started/Cheatsheets/lib SpinalHDL/Getting Started/Cheatsheets/symbolic SpinalHDL/Getting Started/Help for VHDL people/index SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective SpinalHDL/Getting Started/index SpinalHDL/Getting Started/Install and setup SpinalHDL/Getting Started/IntelliJ SpinalHDL/Getting Started/SBT SpinalHDL/Getting Started/Scala Guide/basics SpinalHDL/Getting Started/Scala Guide/coding_conventions SpinalHDL/Getting Started/Scala Guide/index SpinalHDL/Getting Started/Scala Guide/interaction SpinalHDL/Getting Started/VSCodium SpinalHDL/Introduction/A simple example SpinalHDL/Introduction/Contributing SpinalHDL/Introduction/faq SpinalHDL/Introduction/Getting in touch SpinalHDL/Introduction/index SpinalHDL/Introduction/License SpinalHDL/Introduction/Other learning materials SpinalHDL/Introduction/Projects using SpinalHDL SpinalHDL/Introduction/SpinalHDL SpinalHDL/Legacy/index SpinalHDL/Legacy/pinsec/hardware SpinalHDL/Legacy/pinsec/hardware_toplevel SpinalHDL/Legacy/pinsec/index SpinalHDL/Legacy/pinsec/introduction SpinalHDL/Legacy/pinsec/software SpinalHDL/Legacy/riscv SpinalHDL/Libraries/binarySystem SpinalHDL/Libraries/Bus/amba3/ahblite3 SpinalHDL/Libraries/Bus/amba3/apb3 SpinalHDL/Libraries/Bus/amba4/axi4 SpinalHDL/Libraries/Bus/avalon/avalonmm SpinalHDL/Libraries/Bus/index SpinalHDL/Libraries/bus_slave_factory SpinalHDL/Libraries/Bus/tilelink/tilelink SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric SpinalHDL/Libraries/Com/index SpinalHDL/Libraries/Com/spiXdr SpinalHDL/Libraries/Com/uart SpinalHDL/Libraries/Com/usb_device SpinalHDL/Libraries/Com/usb_ohci
11 minutes ago

String statistics

Strings percent Hosted strings Words percent Hosted words Characters percent Hosted characters
Total 11,308 99,354 640,046
Source 5,654 49,677 320,023
Approved 49% 5,616 49% 49,627 49% 319,668
Waiting for review 1% 60 1% 79 1% 576
Translated 100% 11,308 100% 99,354 100% 640,046
Needs editing 0% 0 0% 0 0% 0
Read-only 49% 5,632 49% 49,648 49% 319,802
Failing checks 2% 258 1% 1,520 1% 11,577
Strings with suggestions 2% 278 4% 4,633 4% 29,595
Untranslated strings 0% 0 0% 0 0% 0

Quick numbers

0
Hosted words
0
Hosted strings
0%
Translated
and previous 30 days

Trends of last 30 days

Hosted words
+100%
Hosted strings
+100%
Translated
+100%
Contributors
+100%
Language Approved Translated Unfinished Unfinished words Unfinished characters Untranslated Checks Suggestions Comments
English 99% 0 0 0 0 46 0 0
Chinese (Simplified) 99% 0 0 0 0 212 278 0
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master yesterday
User avatar None

Repository notification received

GitHub: https://github.com/SpinalHDL-CN/SpinalDoc-RTD, master yesterday
User avatar Readon

Changes pushed

Changes pushed yesterday
User avatar None

Changes pushed

Changes pushed yesterday
User avatar Readon

Changes committed

Changes committed yesterday
User avatar Readon

Translation approved

yesterday
User avatar Readon

Translation changed

yesterday
User avatar Readon

Translation approved

yesterday
User avatar Readon

Translation approved

yesterday
User avatar Readon

Translation approved

yesterday
Browse all project changes